CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - clock vhdl

搜索资源列表

  1. DigitalssStopwatch

    0下载:
  2. 本秒表计时器用于体育竞赛及各种要求有较精确时的各领域。此计时器是用一块专用的芯片,用VHDL语言描述的。它除开关、时钟和显示功能以外,它还包括1/100s计时器所有的控制和定时功能,其体积小,携带方便。-the stopwatch timer for the various sports competitions and requires more accurate at the various fields. This timer is a dedicated chip, using the
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:6951
    • 提供者:段苛苛
  1. clock

    0下载:
  2. 基于vhdl的数字钟 有闹钟,秒表,时钟,日期等功能 秒表可以开始,暂停,清零, 时钟可以设置时间, 还可以设置日期
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:3297
    • 提供者:张廷
  1. digclock.rar

    0下载:
  2. 多功能电子钟 报时 闹钟 设置时间,Multi-functional electronic time clock alarm clock setup time
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:342128
    • 提供者:耿康宁
  1. VHDLclock

    0下载:
  2. 这是用VHDL语言编写的数字钟。可以设置时分秒,还可以整点报时。-This is the VHDL language with the digital clock. When every minute can be set, but also the entire point of time.
  3. 所属分类:Document

    • 发布日期:2017-03-30
    • 文件大小:1303
    • 提供者:Henry
  1. 06626_DLL

    0下载:
  2. XILINX的DLL的使用介绍,对于时钟的应用有很大的帮助-XILINX the use of the DLL, the application for the clock will be very helpful
  3. 所属分类:Project Manage

    • 发布日期:2017-11-28
    • 文件大小:1009457
    • 提供者:fei0318
  1. Myshizhong

    0下载:
  2. 多功能数字时钟设计方案及电路图,以及必要分析-Multi-functional digital clock and circuit design, as well as the need to analyze the
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:4143
    • 提供者:生命之碑
  1. VHDLpipeline

    0下载:
  2. 流水线实现圣经,可以大幅度提高系统时钟指标,可以提高编程水平-Pipeline to achieve the Bible, can greatly improve the system clock indicators, can increase the level of programming
  3. 所属分类:software engineering

    • 发布日期:2017-04-29
    • 文件大小:147363
    • 提供者:陈信
  1. vhdl

    0下载:
  2. 6位LED电子钟,非常实用实做过实验,自动报时,秒表-6 LED electronic clock, very useful experiment is done, automatic timer, stopwatch. . .
  3. 所属分类:Document

    • 发布日期:2017-04-14
    • 文件大小:4230
    • 提供者:王睿
  1. TLC549

    0下载:
  2. TLC548和TLC549是以8位开关电容逐次逼近A/D转换器为基础而构造的CMOS A/D转换器。它们设 计成能通过3态数据输出和模拟输入与微处理器或外围设备串行接口。TLC548和TLC549仅用输入/输出时 钟(I/O CLOCK) 和芯片选择(CS) 输入作数据控制。TLC548的最高I/O CLOCK输入频率为2.048MHz, 而TLC549的I/O CLOCK输入频率最高可达1.1MHz。 有关与大多数通用微处理器接口的详细资料已由工厂 准备好,可供使用。-TLC5
  3. 所属分类:Project Manage

    • 发布日期:2017-03-28
    • 文件大小:711464
    • 提供者:ysy593
  1. CRC

    0下载:
  2.  本文提出一种通用的CRC 并行计算原理及实现方法,适于不同的CRC 生成多项式和不同并行度(如8 位、16 位、及32 位等) ,与目前已采用的查表法比较,不需要存放余数表的高速存储器,减少了时延,且可通过增加并 行度来降低高速数传系统的CRC 运算时钟频率.-In this paper, a universal principle of CRC and implementation of parallel computing methods for generating differ
  3. 所属分类:Project Design

    • 发布日期:
    • 文件大小:144382
    • 提供者:黑月
  1. DDRIO

    0下载:
  2. Xilinx公司开发板中的一个模块,在时钟的上升和下降沿同时传输数据。使用时需要在ISE集成开发环境下利用VHDL进行例化。本文是对该模块功能的说明,是个人的学习总结-Xilinx has developed a module board, in the clock' s rising and falling at the same time transmission of data. ISE needs to use integrated development environment
  3. 所属分类:Document

    • 发布日期:2017-04-02
    • 文件大小:224046
    • 提供者:张潘睿
  1. TheRealizationofAdaptiveArithmeticCoderWithFPGA.ra

    0下载:
  2. 本文又用C语言实现了标准的自适应算术编码,拿它与用FPGA实现的改进后的自适应算术编码的仿真结果对比验证了这种改进后编码器编码的正确性。此种结构的编码效率很高,一个时钟编码一个数据比特,时钟频率可以达到50MHZ,占用的硬件资源大约有800个CLB(可配置逻辑模块)。-This thesis realizes the adaptive arithmetic coding which is not improved with C language,compare with the result o
  3. 所属分类:Project Design

    • 发布日期:2017-05-12
    • 文件大小:2651503
    • 提供者:mabeibei
  1. shuzizhong

    0下载:
  2. 该数字钟可以实现3个功能:计时功能、整点报时功能和重置时间功能-The digital clock can achieve three functions: timing function, reset the whole hour and time functions
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:2850
    • 提供者:唐慧
  1. VHDL-ALARM

    1下载:
  2. 要求设计一个带闹钟功能的24小时计时器 它包括以下几个组成部分: ① 显示屏:4个七段数码管显示当前时间(时:分)或设置的闹钟时间;一个发光二极管以1HZ的频率跳动,用于显示秒; ② 按键key1,用于设置调时还是调分; ③ 按键key2,用于输入新的时间或新的闹钟时间,每按下一次,时或分加1; ④ TIME(时间)键,用于确定新的时间设置; ⑤ ALARM(闹钟)键,用于确定新的闹钟时间设置,或显示已设置的闹钟时间; ⑥ 扬声器,在当前时钟时间与
  3. 所属分类:软件工程

    • 发布日期:2017-03-26
    • 文件大小:259321
    • 提供者:洪巨成
  1. Digi-Clock

    0下载:
  2. digital clock vhdl language
  3. 所属分类:software engineering

    • 发布日期:2017-11-29
    • 文件大小:18535
    • 提供者:Tolg
  1. VHDL

    0下载:
  2. 基于vhdl的电子钟设计里边包含大概电路比较详细-Based on the electronic clock vhdl inside contains probably more detailed circuit
  3. 所属分类:software engineering

    • 发布日期:2017-11-26
    • 文件大小:237377
    • 提供者:方法
  1. gcounter1

    0下载:
  2. 数字钟vhdl实现,在线测试无误,具有闹钟,对表功能-Digital clock vhdl implementation, online testing is correct, with alarm, the table function
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:239871
    • 提供者:li
  1. the-digital-clock

    0下载:
  2. 本设计选用 ALTERA 公司的 EP1C12Q240C8 芯片,利用 VHDL 语言采用自 顶向下的方法在 Quartus Ⅱ环境下完成了数字钟的设计,最后在实验箱上进行测 试。该数字钟包含的功能有计时、显示星期、校时校分、清零、整点报时、音乐 闹铃。-The design uses the silicon chip EP1C12Q240C8 produced by the company of ALTERA. And with the help of VHDL, the de
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:231232
    • 提供者:费孝海
  1. JIANYISHIZHONG

    0下载:
  2. 基于FPGA的简易时钟,使用VHDL语言编写。有源代码 可用试验箱实现功能(Simple clock based on FPGA)
  3. 所属分类:系统设计方案

    • 发布日期:2018-04-21
    • 文件大小:299008
    • 提供者:焰钰霜蓝
  1. sp_project

    0下载:
  2. 使用vhdl语言在quatus上编写自定义元件,用原理图实现了蜂鸣器按照音阶一秒换一个音进行循环发声,系统时钟为20m。(Using the VHDL language to write a custom component on the quatus, the buzzer is used to make a circular sound in one second of the phonetic scale, and the system clock is 20m.)
  3. 所属分类:文章/文档

    • 发布日期:2018-05-02
    • 文件大小:2230272
    • 提供者:殷联合
« 12 »
搜珍网 www.dssz.com